Моделирование аналого-цифрового преобразования 1

Описание моделируемой системы


    Для того, чтобы продемонстрировать, насколько просто и удобно строить модели устройств и создавать “измерительные стенды” в Simulink, смоделируем простейший АЦП, функциональная схема которого показана на рис. 1. Наша цель — изучить эффекты аналого-цифрового преобразования. Исходный сигнал с генераторов, расположенных в левой части рисунка, поступает на вход АЦП, моделируемого с помощью последовательно соединённых блоков Zero-Order Hold и Quantizer (на рисунке модель заключена в контур). Осциллографы позволяют наблюдать за исходным сигналом и результатом его преобразования (Scope1), а также за поведением ошибки квантования (Scope).



Содержание раздела